![]() 還元プラズマに耐性のイットリウム含有セラミックコーティング
专利摘要:
微粒子発生は、腐食性の高いプラズマ環境における半導体デバイス処理において問題であった。この問題は、プラズマが還元プラズマの場合に深刻なものとなる。実験データは、酸化イットリウム、Y2O3−ZrO2固溶体、YAG及びYF3等のプラズマ溶射被覆されたイットリウム含有セラミックの形成によって、約22μm〜約0.1μmの平均有効粒径を有する粉末原料からこのようなセラミックを溶射被覆すると、滑らかで緻密な表面を備えた低多孔率のコーティングが得られることを示した。これらの溶射被覆された材料によって、腐食性の還元プラズマ環境における微粒子の発生が減少する。 公开号:JP2011514933A 申请号:JP2010547620 申请日:2009-02-13 公开日:2011-05-12 发明作者:レングアン グアン;トーマス グラベス;ケネス;エス コリンズ;セン サチ;ジェニファー;ワイ サン;リー シュー;シャオミング ヒー;ジエ ユアン 申请人:アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated; IPC主号:C23C4-10
专利说明:
[0001] 本願は、溶射被覆されたイットリウム含有セラミック材料を利用した半導体処理部品に関する2つの別の出願に関連する。溶射被覆されたイットリウム含有セラミック材料は、アルミニウム又はアルミニウム合金基体上に塗布されることが多い。関連する出願は、2002年2月14日に出願されたサン(Sun)らによる米国特許出願第10/075967号「Yttrium Oxide Based Surface Coating For Semiconductor IC Processing Vacuum Chambers」(2004年8月17日に米国特許第6776873号として発行)及び2004年7月22日に出願された米国特許出願第10/898113号「Clean Dense Yttrium Oxide Coating Protecting Semiconductor Apparatus」(2005年2月17日にUS2005/0037193A1として公開、現在係属中)である。参照した特許及び出願の主題は、参照により本明細書に組み込まれる。] 背景 [0002] 1.分野 本発明の実施形態は、半導体処理環境における処理表面上の保護コーティングとして有用な、プラズマ又は火炎溶射されたイットリウム含有コーティングに関する。プラズマ又は火炎溶射されたイットリウム含有コーティングは特に還元プラズマにおいて有用であり、処理対象である基板の微粒子による汚染を防止する。] [0003] 2.背景 この項では、本発明で開示の実施形態に関連した背景となる主題について説明する。この項で論じる背景技術が先行技術を法的に構成すると表明又は含意する意図はない。] [0004] コロージョン(エロージョンを含む)耐性は、腐食性の環境が存在する半導体処理チャンバで使用される装置部品及びライナにとって欠くことのできない性質である。腐食性のプラズマは、プラズマ化学気相蒸着(PECVD)及び物理気相蒸着(PVD)を含む大多数の半導体処理環境に存在しているが、最も腐食性が高いプラズマ環境は、処理装置の清浄化に使用されるもの及び半導体基板のエッチングに使用されるものである。これは高エネルギーのプラズマが存在し、そこにその環境内の部品の表面に作用する化学反応性が加わる場合に特に当てはまる。この高エネルギーのプラズマが還元プラズマの場合(水素種含有プラズマ等)、処理チャンバにおける微粒子の発生が問題であった。発生した微粒子は、半導体処理チャンバ内で処理される基板中のデバイスの表面を汚染することが多い。] [0005] 電子デバイス及び微小電子機械システム(MEMS)の製造に使用される処理チャンバ内に存在する処理チャンバライナ及び部品装置は、アルミニウム及びアルミニウム合金から構成されることが多い。処理チャンバ及び(チャンバ内に存在する)部品装置の表面を陽極酸化させることにより、腐食性の環境からある程度保護することが多い。しかしながら、陽極酸化層の完全性はアルミニウム又はアルミニウム合金中の不純物によって低下する場合があるため、コロージョンが早期に始まり、保護コーティングの寿命は短くなる。酸化アルミニウムのプラズマ耐性は、他のセラミック材料と比較すると確実なものではない。このため、様々な組成のセラミックコーティングが、上記の酸化アルミニウム層の代わりに使用されており、場合によっては、アルミニウム合金基体を覆う陽極酸化層の表面上に使用することにより、その下のアルミニウム系材料をより高く保護する。] [0006] 酸化イットリウムは、半導体デバイスの製造に使用される類のハロゲン含有プラズマに曝露されるアルミニウム及びアルミニウム合金表面の保護において極めて将来性が高いと判明しているセラミック材料である。溶射被覆酸化イットリウムコーティングを、高純度アルミニウム合金処理チャンバ表面又は処理部品表面の陽極酸化表面に塗布することにより、優れたコロージョン耐性が得られる(例えば、上記のサンらによる米国特許第6777873号)] [0007] 装置部品のチャンバ壁又はライナの基体母材は、セラミック材料(Al2O3、SiO2、AIN等)、アルミニウム、ステンレススチールであってもよく、或いは別の金属又は合金であってもよい。そのいずれもが、母材上に溶射膜を有し得る。この膜は、周期表のIII−B族元素の化合物(Y2O3等)から形成することができる。この膜は実質的にAl2O3及びY2O3から構成することができる。イットリウム・アルミニウム・ガーネット(YAG)の溶射膜も以前に言及されている。溶射膜の厚さの例は50μm〜300μmである。] [0008] コロージョン及びエロージョン耐性を付与するために酸化イットリウム含有膜で溶射被覆したアルミニウム及びアルミニウム合金には問題があった。特に、技術ノード45nm及び32nm(並びにこれからの技術ノード)の集積回路(IC)のエッチングにおける厳しい課題の一部として、IC製造作業中に発生する微粒子及び汚染物質は、基準を満たすデバイスの歩留まりを低下させてきた。] [0009] IC部品の製造の一環としてのプラズマ処理中(特に、プラズマが還元プラズマの場合)に発生する微粒子及び汚染の量を削減することが半導体業界において求められている。] 説明 [0010] 腐食性が高いプラズマ環境において半導体デバイスを処理する間、微粒子が問題となることが判明していた。微粒子は、半導体デバイスの歩留まりに影響を及ぼした。実験データは、半導体処理チャンバ表面及びチャンバ内の装置部品を保護するために使用したセラミック保護コーティングが、大量の微粒子の発生源であることを示していた。実験データは、セラミックで被覆した処理チャンバライナ又は装置部品の表面をその使用に先立って研磨することによって、微粒子発生の程度を軽減できることを示していた。しかしながら依然として、発生した微粒子の量は、半導体の歩留まりに大きな影響を与えた。] [0011] 微粒子発生問題は、プラズマ処理チャンバ内の環境が還元性雰囲気の場合に特に悪かった。多くのプラズマ処理において、反応種の中でもとりわけ水素が利用されており、この還元性環境では、水素が存在しない場合より多くの微粒子が発生する。大規模な開発プロジェクトが行われ、還元性環境での微粒子の発生が少ない、改良された保護セラミックコーティングの形成に関する本発明の実施形態が得られた。開発プログラムは、イットリウム含有セラミックに基づくものであった。これらのイットリウム含有セラミックには、酸化イットリウム(Y2O3)、Y2O3−ZrO2固溶体、YAG及びYF3が、特定の機械的、物理的又は電気的性質を付与するように設計されたもっと特殊なセラミックコーティング組成物に加えて含まれた。] [0012] 酸化イットリウムコーティング(当該分野で既知のプラズマ溶射被覆技法を用いて塗布)で被覆したアルミニウム基体から切り出した試料の顕微鏡写真は、還元種を含有するプラズマへの曝露後、多孔率及び表面粗さが大きく上昇したことを示した。溶射被覆した酸化イットリウム表面の多孔率及び表面粗さにおける大きな低下が、より小さい平均粒径の酸化イットリウム粉末原料を、コーティングの塗布に使用するプラズマ溶射被覆装置に使用することによって得られることが、実験によって判明した。本発明の実施形態は、(慣用のものより)小さい平均粒径のY2O3、Y2O3−ZrO2固溶体、YAG及びYF3粉末をの基体の溶射被覆に使用する。例えば、本発明以前のプラズマ溶射被覆装置に供給される酸化イットリウム粉末の従来の有効粒径は約25μm以上であった。プラズマ溶射被覆装置に供給する粉末の有効粒径を約22μm未満、典型的には約15μm未満に縮小すると、還元プラズマに対するコロージョン/エロージョン耐性が思いがけなく向上した。有効粒径は、多くの場合、約15μm〜約5μmであった。0.1μmもの小さい有効粒径の粉末も、このような粒子に対応できるよう溶射被覆システムを構成可能な場合は使用できる。より小さい粒径の粉末を使用して溶射被覆された基体は、これに対応して、イットリウム含有溶射被覆の平均多孔率において大幅且つ思いがけない低下を見せる。平均多孔率におけるこの低下は、アルミニウム合金基体表面上に堆積されたY2O3、Y2O3−ZrO2固溶体、YAG及びYF3のコーティング実施形態において観察されている。例えば、厚さ200μmのコーティングの場合、本発明以前の溶射被覆技法(酸化イットリウムについて25μm以上の有効粒径を採用)を利用して形成される酸化イットリウムコーティングの平均多孔率は、イメージプロ・プラス(Image−Pro Plus、登録商標)、バージョン6.0のソフトウェアをSEM微細構造画像と組み合わせた測定で1.5%より高く〜約4%である。これに対して、プラズマ溶射装置に供給する粉末の平均有効粒径が約22μm〜約5μmの本発明のプラズマ溶射被覆実施形態を利用して形成した酸化イットリウムコーティングの場合、平均多孔率は1.5%未満〜約0.15%であった。例えば、平均多孔率約0.47%を有する酸化イットリウムコーティングが、有効粒径15μmを採用して達成された。加えて、有効粒径が25μmの粉末を使用して形成した酸化イットリウムコーティングの平均表面粗さは約200μ−インチRa(5.0μmRa)であり、これに対してレーザー溶射被覆装置に粒径15μmの粉末酸化イットリウム原料を使用して形成した酸化イットリウムコーティングの場合、平均表面粗さは51.2μ−インチRa(1.28μmRa)にすぎなかった。本発明の実施形態全般において、平均表面粗さは、約3μmRa〜約0.6μmRaになる。] [0013] 上記の厚さ200μmの酸化イットリウムコーティングの場合、続いて以下で説明する標準HClバブルテストによると、有効粒径25μmの酸化イットリウム粉末を使用して形成した酸化イットリウムコーティングは約7.5〜8時間にわたって良好に機能し、粒径15μm(又は15μm以下)の粉末を使用して形成した酸化イットリウムコーティングは10時間を超えて良好に機能した。更に、粒径25μmの粉末を使用して形成した酸化イットリウムコーティングでの降伏電圧(VBD)は750V/milであり、粒径15μmの粉末を使用して形成した酸化イットリウムコーティングでの降伏電圧は、少なくとも875V/milであった。] [0014] 当業者は、イットリウム含有コーティングの溶射被覆に業界で一般に使用されるいずれのプラズマ溶射被覆装置も選択することができ、また同様の相対的な結果を最小限の実験で得られる。] [0015] より小さい有効粒径のイットリウム含有粉末の使用により、基体の被覆の効率は低下し、堆積するコーティングの厚さが必要とする粉末量が多くなる。イットリウム含有粉末は高価なため、溶射被覆に粒径が小さいイットリウム含有粉末の利用を拡大しようという試みは行われなかった。本発明の実施形態に従って有効粒径約22μm〜約0.1μmを採用すると、より小さい有効粒径の採用を正当化するより多くの予期せぬ相対的な利点が、コーティングの性能特性において得られた。例えば、厚さ300μm以下を有する溶射コーティングは、上述のやり方でイメージプロ・プラス(商標名)のソフトウェアを使用して測定すると、約0.15%〜約1.5%未満の多孔率を示す。実験データは、本発明の実施形態に従ってより小さい有効粒径の粉末を使用する改良された溶射被覆技法を利用して形成された溶射被覆酸化イットリウム処理部品の還元プラズマにおけるエロージョン耐性が一層高く、また純粋な酸化イットリウム部品より発生する微粒子が少ないことを示した。これは、純粋な酸化イットリウム部品では、微粒子発生の原因となる粒子間ガラス相を形成する焼結添加剤の使用を必要としたことに起因すると考えられた。] [0016] 還元プラズマ中での酸化イットリウムコーティングの性能の改善に取り組む間に、発明者は、酸化イットリウム表面の侵食のメカニズムが、水酸化イットリウムY(OH)3の生成を通したものであることを発見した。水素又は水素と酸素の反応性プラズマ種が存在する場合、Y(OH)3化合物が生成される。水素、フッ素及び酸素の反応性プラズマ種が存在する場合、Y(OH)3化合物が生成され、YF3化合物も同じく生成され、熱力学的な条件によってはYF3が優先的に生成される。] [0017] 酸化イットリウム表面上に還元雰囲気中で生成されるY(OH)3が、微粒子発生の主な原因である。ひとたびこの発見がなされると、発生する微粒子の量を削減するのに利用可能な、本発明の実施形態に沿った例示的な方法が幾つかあることが実験により判明した。(1)酸化イットリウムコーティングの使用を継続するが、還元種による侵食速度がより緩やかな、より高密度で滑らかなY2O3プラズマ溶射コーティングを形成する。これは、溶射コーティング形成用の粉末の有効粒径を約22μm〜約0.1μmに縮小することによって達成される。(2)プラズマ溶射コーティング装置に供給するYAG(Y3Al5O12形態で一般に使用されるイットリウムアルミニウムガーネット)、Y2O3−ZrO2固溶体又はYF3組成物(又はこれらの組み合わせ)での代用によりYAG、Y2O3−ZrO2固溶体又はYF3(又はこれらの組み合わせ)コーティングを形成する。これらの材料によって、各々、Y(OH)3の生成が減少する又は回避される。(3)Y2O3をYAG、Y2O3−ZrO2固溶体又はYF3(又はこれらの組み合わせ)材料で代用し、プラズマ溶射コーティング装置に供給するYAG、Y2O3−ZrO2固溶体又はYF3(又はこれらの組み合わせ)有効粒径粉末のサイズを約22μm〜0.1μmに縮小する。より実際的には、約15μm〜約5μmの粒径を採用する。5μm〜400μmのコーティング厚さが得られる。より一般的には、約25μm〜約300μmのコーティング厚さが採用される。] 図面の簡単な説明 [0018] 上記の具体的な説明及び例示的な実施形態の詳細な説明を参照しながら本発明の例示的な実施形態を成し遂げるやり方が明快となり且つ詳細に理解できるように、出願人は図面を用意した。これらの図面が、本発明を理解するのに必要な場合にだけ提供され、また開示の主題の独創的な特徴が曖昧にならないように一部の周知の処理及び装置を本願では説明しないことに留意すべきである。] [0019] 本発明のコーティングの塗布に使用し得る、当該分野で既知の類のプラズマ溶射システムの1タイプの概略断面図100である。 〜 従来型の被覆したままのプラズマ溶射酸化イットリウムコーティングの表面の比較顕微鏡写真200、210、220であり、倍率は各々300倍、1000倍、5000倍である。 〜 還元化学プラズマに曝露後の、図2A〜2Cの被覆したままのプラズマ溶射酸化イットリウムコーティングの表面の顕微鏡写真230、240、250である。図2Dの倍率は300倍、図2Eの倍率は1000倍、図2Fの倍率は5000倍である。 〜 ラップ仕上げ(研磨)後の被覆したままのプラズマ溶射酸化イットリウムコーティングの表面の顕微鏡写真300、310、320であり、倍率は各々300倍、1000倍、5000倍である。 〜 還元化学プラズマに曝露後の、図3A〜3Cのラップ仕上げ(研磨)後の溶射酸化イットリウムコーティングの表面の顕微鏡写真330、340、350である。図3Dの倍率は300倍、図3Eの倍率は1000倍、図3Fの倍率は5000倍である。 比較例であり、本発明以前の技術を利用して形成した、被覆したままのプラズマ溶射酸化イットリウムコーティングの表面に沿った中心線410からの表面粗さ(ミクロン)範囲のグラフ400である。 本発明の実施形態の技術を利用して形成した、被覆したままのプラズマ溶射酸化イットリウムコーティングの表面に沿った中心線430からの表面粗さ(ミクロン)範囲のグラフ420である。 〜 比較顕微鏡写真510、520であり、本発明以前のプラズマ溶射技術を利用して形成したプラズマ溶射酸化イットリウムコーティングのモルホロジーの上面図であり、倍率は各々200倍、1000倍である。 〜 顕微鏡写真530、540であり、本発明の実施形態のプラズマ溶射技術を利用して形成したプラズマ溶射酸化イットリウムコーティングのモルホロジーの上面図であり、倍率は各々200倍、1000倍である。 アルミニウム合金基体602の側部断面の顕微鏡写真600であり、アルミニウム合金基体602の表面604上に酸化イットリウムコーティング606が堆積されている。これは比較顕微鏡写真であり、本発明以前のプラズマ溶射技術を利用して形成したこの構造の特徴を示し、倍率は200倍である。 アルミニウム合金基体612の側部断面の顕微鏡写真610であり、アルミニウム合金基体612の表面614上に酸化イットリウムコーティング616が堆積されている。この顕微鏡写真は、本発明の実施形態であるプラズマ溶射技術を利用して形成したこの構造の特徴を示し、倍率は200倍である。 従来の溶射コーティング技術を利用して(アルミニウム合金基体上に)塗布したプラズマ溶射酸化イットリウムコーティングの場合のエロージョン速度704を、酸化イットリウムのバルク基体の場合のエロージョン速度706、本発明の実施形態を利用して(アルミニウム基体上に)塗布したプラズマ溶射酸化イットリウムコーティングの場合のエロージョン速度708と比較したブロック図700である。これらの試料基体の各々は、同じ還元種含有プラズマに曝露された。 一連のバルク焼結材料のエロージョン速度を比較したブロック図720である。各試料基体は、同じ還元種含有プラズマに曝露された。 図7Bでエロージョン速度を示した様々なバルク材料の組成を記載した表800である。 表800で挙げた材料の大多数を示す相図900である。] 図2A 図2B 図2C 図2D 図2E 図2F 図3A 図3B 図3C 図3D 例示的実施形態の詳細な説明 [0020] 詳細な説明の序文として、本明細書及び添付の特許請求の範囲において使用の単数形の冠詞は、そうではないとの明確な記載がない限り複数の場合も含むことに留意すべきである。] [0021] 本願において「約」という語を使用する場合、これは記載の公称値が±10%以内で正確であることを意味する。] [0022] 円滑な理解のために、可能な限り、図に共通する同一の要素は同一の参照番号を用いて表した。一実施形態における要素及び構成を、特に記載することなくその他の実施形態で便宜上利用することも考えられる。添付の図面は本発明の例示的な実施形態しか図示していないことに留意されたい。図面は、実施形態の理解に特に有用である。全ての実施形態がその理解のために図面を必要とするわけではなく、本発明はその他の同等に効果的な実施形態も認め得ることから、図面が本発明の範囲を限定するとはみなされない。] [0023] 上述したように、腐食性の高いプラズマ環境における半導体デバイスの処理中、微粒子が問題となることが判明していた。実験データは、チャンバ内の様々な半導体装置処理表面を保護するために使用するセラミック保護コーティングが、大量の微粒子の発生源であることを示した。加えて、様々な半導体処理プラズマについてエロージョン速度を比較したところ、プラズマが還元種(特に、水素)を含有する還元プラズマの場合、微粒子の発生が増大することが明らかとなった。] [0024] デバイスのサイズが小さくなるにつれ製造工程あたりのデバイスの歩留まりは低下し、半導体基板表面上の微粒子の存在は、デバイスの機能にとってより重要なものとなり、半導体処理装置表面の保護に使用されるコーティングによる微粒子の発生を軽減するためのプログラムが始動した。] [0025] この開発プログラムは、イットリウム含有セラミックに基づくものであった。これらのイットリウム含有セラミックには、酸化イットリウム、Y2O3−ZrO2固溶体、YAG及びYF3が、特定の機械的又は電気的性質を付与するように設計されたもっと特殊なイットリウム含有セラミック材料に加えて含まれた。] [0026] 図1は、本発明のコーティングの塗布に有用なプラズマ溶射システムの1タイプの概略断面図100である。図1に図示の特定の装置は、エアロプラズマK.K.(Aeroplasma K.K.、東京、日本)社から入手可能なAPS7000シリーズのエアロプラズマ溶射システム(Aeroplasma Spraying System)である。装置100は、以下の部品:第1DC主電極102、第1補助電極104、第1アルゴン供給源106、第1空気供給源108、溶射材料粉末供給源110、カソードトーチ112、アクセレレータノズル114、プラズマアーク116、第2DC主電極118、第2補助電極120、アノードトーチ122、溶射母材供給源124、第2アルゴン供給源126、第2空気供給源(プラズマトリミング)128(128A、128B)、溶射膜130、プラズマジェット132、溶融粉末供給源134、第3アルゴン供給源136及びツインアノードαトーチ138を含む。] 図1 [0027] ツインアノードαトーチ138は2つのアノードトーチから成ることから、各アノードトーチは、熱負荷の半分を負担する。ツインアノードトーチα138を使用し、比較的低い電流で高い電圧を得ることが可能なため、各トーチの熱負荷は低くなる。トーチの各ノズル及び電極ロッドは別々に水冷され、アーク開始点及び終了点は不活性ガスで保護されるため、200時間以上の安定した動作が保証され、消耗部品の耐用寿命が延び、メンテナンス費用が削減される。] [0028] 安定した高温のアークが、カソードトーチ112とアノードトーチ122との間に形成され、溶射材料をこのアークに直接、供給可能である。溶射材料は、高温のアーク柱によって完全に溶融する。アークの開始点及び終了点は不活性ガスによって保護されるため、空気又は酸素を、アクセレレータノズル114を通して導入されるプラズマガスに使用可能である。] [0029] プラズマトリミング機能128をツインアノードαに使用する。プラズマトリミングにより、溶射材料の溶融に利用されないプラズマジェットの熱をトリムし、基体材料及び膜への熱負荷を軽減して近距離での溶射を可能にする。] [0030] プラズマ溶射被覆装置の一種を図1に示すが、当業者なら、その他の種類の被覆装置も本発明の実行に使用可能であることに思い至るであろう。続いて記載の情報を理解することによって、プラズマ溶射被覆及び火炎溶射被覆の分野の当業者なら、最小限の実験によって、様々なコーティング堆積設備を使用して本発明を実行可能である。] 図1 [0031] 図2A、2B、2Cは、厚さ約200μmを有する、被覆したままのプラズマ溶射酸化イットリウムコーティングの上面の比較顕微鏡写真200、210、220であり、コーティングは、本発明以前の技術を利用して堆積された。これらの顕微鏡写真の倍率は各々300倍、1000倍、5000倍である。全ての倍率で、特に5000倍の写真で、微粒子発生に直結する薄片状の表面組織が容易に見て取れる。] 図2A [0032] 図2D、2E、2Fは、還元化学プラズマに曝露後の、図2A〜2Cの被覆したままのプラズマ溶射酸化イットリウムコーティングの表面の顕微鏡写真230、240、250である。図2Dの倍率は300倍であり、図2Eの倍率は1000倍であり、図2Fの倍率は5000倍である。還元プラズマの成分は表1のものであり、表1に示す通りであり、成分表は図2、3、7Bについての、カリフォルニア州サンタクララのアプライドマテリアルズ社から入手可能な類の300mmイーマックス(eMax)CT+チャンバ(登録商標)におけるものである。評価する試料基体はウェハ上に置かれ、次に処理チャンバ内のESC位置に置かれた。図2A、2B、2Cに映っていた大量の薄片状のトポグラフィが、還元プラズマへの曝露中に消失したことは明白である。除去された材料が、還元化学プラズマを使用して処理した、デバイスを備えた半導体構造体の表面上に出現する微粒子の原因とみられる。] 図2A 図2B 図2C 図2D 図2E 図2F [0033] ] [0034] プラズマへの曝露中に、薄片状のトポグラフィが酸化イットリウムコーティング表面から消失したことが容易に見て取れ、図2Cと図2Fの比較により示されるとおりである。これは、処理後の半導体デバイス表面上に見られた微粒子の化学組成と共に、微粒子の大部分が、酸化イットリウムコーティングから発生したことを裏付けた。] 図2C 図2F [0035] コーティング内部に向かって深くなるにつれ、溶射被覆酸化イットリウム層を検査した研究によって、コーティングの厚み全体を通して酸化イットリウムの全体的な結晶構造及び酸化イットリウムコーティングの多孔率が比較的一定していることが判明した。しかしながら、図2A〜2Cと図2D〜2Fとの比較によってわかるように、新しく被覆した装置を処理チャンバに導入する場合、その装置を半導体デバイスの製造に使用する前に、被覆したままのその装置の薄片状の表面を除去することによって、初期の大きな粒子の発生を回避することができる。] 図2A 図2B 図2C 図2D 図2E 図2F [0036] 薄片状の上面は、図2D〜2Fに関連して説明した還元性の高いプラズマへの曝露によって除去することができた。しかしながら、この場合、プラズマへの約50時間にわたる曝露を必要とし、実際的ではなかった。その代わりに、酸化イットリウムでプラズマ溶射被覆した装置の表面を、セラミック材料を研磨するための当該分野で周知のラップ技法を使用して研磨した。図3A、3B、3Cは、ラップ仕上げ(研磨)をした、被覆したままのプラズマ溶射酸化イットリウムコーティングの表面の顕微鏡写真300、310、320であり、倍率は各々300倍、1000倍、5000倍である。薄片状の材料が、コーティングの上面から除去されてしまっていることが容易に見て取れる。] 図2D 図2E 図2F 図3A [0037] 図3D、3E、3Fは、還元化学プラズマに曝露後の、図3A〜3Cに映っているラップ仕上げ(研磨)されたプラズマ溶射酸化イットリウムコーティングの表面の顕微鏡写真330、340、350である。図3Dの倍率は300倍であり、図3Eの倍率は1000倍であり、図3Fの倍率は5000倍である。還元プラズマは、表1で説明したやり方で生成された。曝露時間は50時間であった。図3Cと図3Fとの比較によってわかるように、薄片状のトポグラフィが、プラズマへの曝露中に酸化イットリウムコーティング表面から消失したことは明白である。しかしながら、図3Fから見てとれるように、処理時間にわたって腐食性の環境に曝露されたコーティング表面は(酸化イットリウムの保護層の段階的なエロージョンが生じるにつれ)、依然として比較的微粒子を発生しやすく、これは溶射被覆されたセラミック材料の表面の亀裂及び全体的な結晶粒構造によるものである。高密度で多孔率の低い構造及び滑らかで緻密なコーティング表面を得るための、溶射被覆酸化イットリウムおける更なる改良が、微粒子発生を軽減するにあたって有用である。] 図3A 図3B 図3C 図3D 図3E 図3F [0038] 本発明の別の実施形態は、還元プラズマによって侵食されにくいより高密度の溶射コーティングを得るための溶射被覆技法の改良に関する。多数の実験を重ね、プラズマ溶射被覆処理の様々な変数を試した後、アルミニウム合金基体にコーティングを塗布するのに使用するプラズマ溶射被覆装置に粒径がより小さい酸化イットリウム粉末原料を使用することによって、溶射被覆された酸化イットリウム表面の多孔率及び表面粗さが思いがけなく大幅に低下することが判明した。] [0039] 例えば、本発明以前のプラズマ溶射被覆装置に供給される酸化イットリウム粉末の慣用の平均有効粒径は、直径25μmより大きかった。この平均粒径を約22μm以下、典型的には約15μm〜約0.1μmに縮小することによって、アルミニウム合金基体表面上に形成される酸化イットリウムコーティングの多孔率が大幅に低下することを示す実験データが得られた。] [0040] 以下の表2は、プラズマ溶射された酸化イットリウムコーティングの物理的性質における改善を示し、これらの性質は、プラズマ溶射被覆装置への酸化イットリウム粉末原料のサイズを上述のやり方で変更した本発明の実施形態を利用して達成された。] [0041] ] [0042] 表2に示されるように、厚さ200μmのコーティングの場合、慣用の粒径25μmの酸化イットリウム粉末を使用して形成した酸化イットリウムコーティングの平均多孔率は約1.5%〜約4%であり、より小さい等価直径の酸化イットリウム粉末を使用して形成した酸化イットリウムコーティングの平均多孔率は、1.5%未満〜約0.15%であった。例えば、等価直径が15μmの粉末によって、多孔率約0.47%を有するコーティングが形成された。多孔率のこの低下は、還元種を含有するプラズマによる侵食しやすさの指標として特に重要である。加えて、慣用の粒径25μmの粉末を使用して形成した酸化イットリウムコーティングの平均表面粗さRaは約200μインチRa(5.0μmRa)であるのに対して、プラズマ溶射被覆装置により小さい粒径15μmの酸化イットリウム粉末原料を使用して形成した酸化イットリウムコーティングの場合の平均表面粗さはたったの51.2μインチRa(1.28μmRa)であった。標準HClバブルテストを使用すると、慣用の等価直径25μmの粉末を使用して形成した厚さ200μmの酸化イットリウムコーティングは約7.5〜8時間にわたって良好に機能し、より小さい粒径15μmの粉末を使用して形成した厚さ200μmの酸化イットリウムコーティングは、10時間を超えて良好に機能した。更に、慣用の等価直径25μmの粉末を使用して形成した酸化イットリウムコーティングでの降伏電圧(VBD)は750V/milにすぎず、より小さい等価直径15μmの粉末を使用して形成した酸化イットリウムコーティングでの降伏電圧は、875V/milより高かった。当業者は、イットリウム含有コーティングの溶射被覆に業界で一般に使用される装置のいずれも選択可能であり、また同様の相対的な結果を最小限の実験で得られる。] [0043] 図4Aは比較例であり、本発明以前の技術を利用して形成した、被覆したままのプラズマ溶射酸化イットリウムコーティングの表面に沿った中心線410からの表面粗さ(ミクロン)範囲のグラフ400である。表面に沿った動程の距離が軸402上にミリメートル単位で示され、範囲の中心線の上の高さ又は下の深さがミクロン単位で軸404上に示される。範囲の中心線からの表面距離の値域は、約+23ミクロン〜約−17ミクロンであった。] 図4A [0044] 図4Bは被覆したままのプラズマ溶射酸化イットリウムコーティングの表面に沿った中心線430からの表面粗さ(ミクロン)範囲のグラフ420である。プラズマ溶射コーティングは、より小さい有効粒径の粉末をプラズマ溶射装置に供給する本発明の実施形態を利用して形成された。表面に沿った動程の距離が軸422上にミリメートル単位で示され、範囲の中心線の上の高さ又は下の深さがミクロン単位で軸424上に示される。範囲の中心線からの表面距離の値域は、約+6ミクロン〜約−4.5ミクロンであった。表面の凹凸の高さ及び深さの範囲におけるこの大きな変化によって、腐食性の還元プラズマに曝露される保護コーティングの表面積が実質的に減少する。] 図4B [0045] 図5A及び5Bは比較顕微鏡写真510、520であり、本発明以前のプラズマ溶射技術を利用して形成したプラズマ溶射酸化イットリウムコーティングのモルホロジーの上面図であり、倍率は各々200倍、1000倍である。図5C及び5Dは顕微鏡写真530、540であり、より小さい有効粒径の粉末をプラズマ溶射装置に供給する本発明の実施形態を利用して形成したプラズマ溶射酸化イットリウムコーティングのモルホロジーの上面図である。図5C及び5Dの倍率は各々200倍、1000倍である。図5A及び図5Dと図5C及び図5Dとを比較すると、プラズマによる侵食に曝される表面積が減少することがわかる。図5A及び図5Bにおける表面モルホロジーは、(図5C及び図5Dにおける表面モルホロジーと比較して)還元プラズマによる侵食に対する高い脆弱性を示し、これは表面の高さ及び深さにおける縦方向の変化による二次元方向での曝露量の増加、またコーティング表面から上に延びる球状構造体による表面積の増大によるものである。] 図5A 図5B 図5C 図5D [0046] 図6Aは、アルミニウム合金基体602の側部断面を示す比較顕微鏡写真600であり、アルミニウム合金基体602の表面604上に酸化イットリウムコーティング606が堆積されている。この比較顕微鏡写真は、厚さ約200μmを有する酸化イットリウムコーティングを形成するために本発明以前のプラズマ溶射技術を利用して形成したこの構造の特徴を示している。この顕微鏡写真の倍率は200倍である。試料のアルミニウム合金基体602は、顕微鏡写真600の底部に映っている。アルミニウム合金の表面604の粗さが、はっきりと映っている。溶射被覆された酸化イットリウム606の全体的な多孔性も、慣用の平均有効粒径25μmの酸化イットリウム粉末をプラズマ溶射コータに供給する従来のプラズマ溶射技術を利用して形成したコーティングの表面608の粗さと同様に明らかである。] 図6A [0047] 図6Bは、より小さい有効粒径の酸化イットリウムをプラズマ噴霧器に供給した場合に得られる、プラズマ溶射被覆された酸化イットリウムコーティングにおける改善を示す顕微鏡写真610である。図6Bはアルミニウム合金基体612の側部断面図であり、アルミニウム合金基体の表面614上には酸化イットリウムコーティング616が堆積されている。ここでもまた、倍率は200倍である。試料のアルミニウム合金基体612は、顕微鏡写真610の底部に映っている。アルミニウム合金の表面614の粗さがはっきりと映っており、図6Aのものと同様である。図6Aに映っている従来法を利用して形成したコーティングのものより、溶射被覆された酸化イットリウム616の全体的な多孔性はずっと低い。本発明の実施形態を利用して形成されたコーティングの表面618粗さのほうが、従来のプラズマ溶射技術を利用して形成したものよりずっと滑らかである。顕微鏡写真600及び610は更に、上の表2のデータを裏付ける。] 図6A 図6B [0048] 図7Aは、様々な酸化イットリウム含有基体のエロージョン速度を比較したブロック図700である。各酸化イットリウム含有基体のエロージョン速度を、ブロック図700の軸702上に、μm/時間で示す。ブロック704は、従来の溶射被覆法を利用して(アルミニウム合金基体上に)塗布したプラズマ溶射酸化イットリウムコーティングのエロージョン速度を示し、従来法では、平均有効粒径25μm以上を有する酸化イットリウム粒子原料をプラズマ噴霧器に使用した。ブロック706は、酸化イットリウムの(当該分野で従来から知られている類の)バルク試料基体706のエロージョン速度を示す。ブロック708は、(アルミニウム基体上の)プラズマ溶射された酸化イットリウムコーティングのエロージョン速度を示し、この酸化イットリウムコーティングは、より小さいサイズの粉末酸化イットリウム原料をプラズマ溶射装置に使用する本発明の実施形態を利用して塗布された。これらの試験用基体の各々は、同じ還元種含有プラズマに曝露された。図7Aのデータを得るのに使用したプラズマ処理の諸条件を、以下の表3に示す。処理中の平均温度は、処理工程に応じて約20℃〜90℃であった。プラズマへの曝露時間は87時間であった。予期せずして、より小さい粒径の粉末酸化イットリウム原料をプラズマ溶射装置に使用して形成した溶射被覆酸化イットリウム処理部品では、純粋な酸化イットリウム部品より微粒子の発生が少ないことが判明した。これは、純粋な酸化イットリウム部品では焼結添加剤の使用を必要としたからと考えられる。粒子間ガラス相を形成する焼結添加剤の使用が、微粒子発生の原因である。] 図7A [0049] ] [0050] 図7Bは、一連のバルク材料のエロージョン速度を比較したブロック図720であり、各材料は異なる化学組成を有する。これらの試料の各々は、表1に記載の諸条件に従って同じ還元種含有プラズマに、300mmイーマックスCT+処理チャンバ内で曝露された。YAGバルク材料の性能によって、エロージョン耐性を改善する方法としての還元プラズマにおけるY(OH)3の生成の回避についての理論が裏付けされた。ブロック724はHF01基体を表し、ブロック726はNB04基体を表し、ブロック728はY−ZrO2基体を表し、ブロック730はNB01基体を表し、ブロック732はHPM基体を表し、ブロック734はYA3070基体を表し、ブロック736はY2O3基体を表し、ブロック738はYZ20基体を表し、ブロック740はYAG基体を表す。最も関心があるのはブロック736、738、740であるが、これはこれらのブロックが各々、Y2O3、20原子%のZrO2を含有するY2O3−ZrO2固溶体及びYAGについてのバルク基体を表すからである。これら3種類の材料は、本発明の一実施形態に従ったプラズマ溶射被覆で塗布した場合に、還元プラズマに特に耐性が高いことが判明している。] 図7B [0051] 図8は、図7Bでエロージョン速度を示した様々なバルク材料の様々な出発粉末の化学組成を記載した表800である。] 図7B 図8 [0052] 図9は相図900であり、出発粉末の化学組成及び生成された最終物質における相を示し、材料は表800に挙げられる。] 図9 [0053] 酸化イットリウムコーティングの性能を改善しようと取り組む間に、酸化イットリウム表面の侵食のメカニズムが、水酸化イットリウムY(OH)3の生成を通したものであるとの発見がなされた。水素及び酸素の反応性プラズマ種が存在する場合、Y(OH)3化合物が生成される。水素、フッ素及び酸素の反応性プラズマ種が存在する場合、Y(OH)3化合物が生成される。理論上は、様々な化合物の熱力学的データ(ギブス生成自由エネルギー)を見ることによって、Y(OH)3化合物が生成される確率を求めることが可能である。実験では、高分解能XPSを使用して、Y(OH)3の生成を検知した。一般にY3Al5O12形態の(イットリウムアルミニウムガーネット)の使用及びY2O3−ZrO2固溶体の使用によってY(OH)3の生成が回避されることを実験は示した。加えて、更なる研究によって、YF3は熱力学的に安定し且つY(OH)3の生成に耐性であることから、還元活性種を含有するプラズマ環境における保護コーティングとしての使用に望ましいことが示された。従って、YAG、Y2O3−ZrO2固溶体、YF3又はこれらの組み合わせが、還元活性種を含有するプラズマ環境における保護コーティングとしての使用に最良の材料である。約0.5%以下の有利な多孔率及び降伏電圧(VBD)875以上を得るためには、プラズマ溶射YAG、Y2O3−ZrO2固溶体又はYF3コーティングの堆積に使用する粉末の平均(等価直径)粒径が約22μm〜約5μmである。ここでもまた、等価直径粒径約0.1μmも、このサイズの粒子に対応できるよう溶射被覆装置を構成可能なら、使用できる。この小さいサイズの粉末の使用によってプラズマ溶射コーティングの多孔率は低下し、またプラズマ溶射Y2O3含有コーティングにおけるサイズの小さい粉末の使用で観察されるものと同じやり方でより高密度な構造が得られる。] [0054] 上記は本発明の実施形態を対象としているが、本発明のその他及び更なる実施形態も本開示に基づいてその基本的な範囲から逸脱することなく創作することができ、本発明の範囲は以下の特許請求の範囲に基づいて定められる。]
权利要求:
請求項1 化学的に活性である還元プラズマによるコロージョン又はエロージョンに耐性の物品であって、金属又は合金の基体を備え、基体はその表面上に溶射被覆されたイットリウム含有セラミック材料を有し、セラミックコーティングの多孔率が1.5%未満である物品。 請求項2 多孔率が1.5%未満〜約0.1%である請求項1記載の物品。 請求項3 多孔率が約1%〜約0.1%である請求項2記載の物品。 請求項4 溶射被覆されたイットリウム含有セラミック材料の露出面が、約3μmRa未満の表面粗さを有する請求項1記載の物品。 請求項5 表面粗さが約1.5μmRa未満〜約0.6μmRaである請求項3記載の物品。 請求項6 溶射被覆されたイットリウム含有セラミック材料の降伏電圧が少なくとも650V/milより高い請求項1記載の物品。 請求項7 降伏電圧が約650V/milから900V/mil以上の降伏電圧である請求項6記載の物品。 請求項8 溶射被覆されたイットリウム含有セラミック材料が約5μm〜約400μmの厚さを有する請求項1、4又は6記載の物品。 請求項9 材料の厚さが約25μm〜約300μmである請求項8記載の物品。 請求項10 イットリウム含有セラミック材料が、Y2O3、Y2O3−ZrO2固溶体、YAG、YF3及びこれらの組み合わせから成る群から選択される請求項8記載の物品。 請求項11 溶射被覆されたイットリウム含有セラミック材料が、少なくとも8時間にわたってHClバブルテストを通過する請求項2記載の物品。 請求項12 溶射被覆されたイットリウム含有セラミック材料が、少なくとも10時間にわたってHClバブルテストを通過する請求項3記載の物品。 請求項13 化学的に活性である還元プラズマによるコロージョン又はエロージョンに耐性の物品を製造する方法であって、金属又は合金の基体をイットリウム含有セラミック材料でプラズマ溶射被覆することによって物品を製造することを含み、イットリウム含有セラミック材料が約22μm〜約0.1μmの平均等価直径を有する粉末の形態である方法。 請求項14 粉末が約15μm〜約5μmの平均等価直径を有する請求項13記載の物品の製造方法。 請求項15 イットリウム含有材料が、Y2O3、Y2O3−ZrO2固溶体、YAG、YF3及びこれらの組み合わせから成る群から選択される請求項13又は14記載の物品の製造方法。 請求項16 イットリウム含有材料が、Y2O3−ZrO2固溶体、YAG、YF3及びこれらの組み合わせから成る群から選択される請求項13記載の方法。 請求項17 化学的に活性である還元プラズマによるコロージョン又はエロージョンに耐性の物品を製造する方法であって、金属又は合金の基体をイットリウム含有セラミック材料でプラズマ溶射被覆することによって物品を製造することを含み、イットリウム含有セラミック材料が、Y2O3−ZrO2固溶体、YF3及びこれらの組み合わせから成る群から選択される方法。
类似技术:
公开号 | 公开日 | 专利标题 US20180080116A1|2018-03-22|Ion assisted deposition top coat of rare-earth oxide US20170345691A1|2017-11-30|Substrate support assembly US20180102237A1|2018-04-12|Rare-earth oxide based erosion resistant coatings for semiconductor application KR102119866B1|2020-06-05|희토류 옥사이드 기반 모놀리식 챔버 재료 TWI664073B|2019-07-01|稀土氧化物系抗電漿腐蝕薄膜塗層 TWI629167B|2018-07-11|電漿腔室元件上之抗腐蝕鋁塗布 KR102067107B1|2020-01-16|세라믹 코팅을 갖는 열처리된 세라믹 기판 및 코팅된 세라믹들을 위한 열처리 KR101107542B1|2012-02-08|플라즈마 반응기용 용사 이트리아 함유 코팅 CN102210196B|2014-06-25|用于等离子腔室部件的抗等离子涂层 US10730798B2|2020-08-04|Slurry plasma spray of plasma resistant ceramic coating JP4571561B2|2010-10-27|耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法 TWI361177B|2012-04-01|Plasma-resistant ceramics with controlled electrical resistivity US6808747B1|2004-10-26|Coating boron carbide on aluminum US6565984B1|2003-05-20|Clean aluminum alloy for semiconductor processing equipment JP5877711B2|2016-03-08|反応性プラズマ処理に耐性をもつ保護コーティング TW548737B|2003-08-21|Zirconia toughened ceramic components and coatings in semiconductor processing equipment and method of manufacture thereof JP2015522710A|2015-08-06|重要チャンバコンポーネント用プラズマ溶射プロセスの強化 KR101157707B1|2012-06-20|내플라즈마 부재 및 그 제조 방법 KR20170005784A|2017-01-16|상 및 응력 조절을 이용한 플라즈마 스프레이 설계 JP4846872B2|2011-12-28|スパッタリングターゲット及びその製造方法 US8619406B2|2013-12-31|Substrate supports for semiconductor applications JP4272786B2|2009-06-03|静電チャック部材およびその製造方法 JP2014194080A|2014-10-09|プラズマ処理チャンバの高密度酸化物コーティングされた構成要素およびその製造方法 TWI461572B|2014-11-21|具有氧化塗層之抗腐蝕、含釔金屬的電漿腔室部件 KR100489172B1|2005-05-17|이트리아-알루미나 복합 산화물막의 제조 방법,이트리아-알루미나 복합 산화물막, 용사막, 내식성 부재및 저파티클 부재
同族专利:
公开号 | 公开日 WO2009108275A9|2011-02-03| WO2009108275A2|2009-09-03| WO2009108275A3|2009-11-05| US20090214825A1|2009-08-27| JP5674479B2|2015-02-25| CN102084020B|2014-07-09| KR20100118994A|2010-11-08| SG187415A1|2013-02-28| CN102084020A|2011-06-01| SG10201710059XA|2018-01-30| TWI455820B|2014-10-11| TW200946331A|2009-11-16|
引用文献:
公开号 | 申请日 | 公开日 | 申请人 | 专利标题 JP2004332081A|2003-05-12|2004-11-25|Shin Etsu Chem Co Ltd|耐プラズマ部材及びその製造方法| JP2005256098A|2004-03-12|2005-09-22|Tocalo Co Ltd|熱放射性および耐損傷性に優れるy2o3溶射皮膜被覆部材およびその製造方法|KR20130123821A|2012-05-04|2013-11-13|코미코|내 플라즈마 코팅막, 이의 제조 방법 및 내 플라즈마성 부품| JP2016065302A|2014-09-17|2016-04-28|東京エレクトロン株式会社|プラズマ処理装置用の部品、及び部品の製造方法| JP2016539250A|2013-09-18|2016-12-15|アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated|Enhancing plasma spray coating using plasma flame heat treatment| KR20170015236A|2015-07-31|2017-02-08|신에쓰 가가꾸 고교 가부시끼가이샤|이트륨계 용사 피막 및 그의 제조 방법| JP2017190475A|2016-04-12|2017-10-19|信越化学工業株式会社|イットリウム系フッ化物溶射皮膜、該物溶射皮膜を形成するための溶射材料、及び該溶射皮膜を含む耐食性皮膜| JP2018080396A|2014-04-25|2018-05-24|アプライド マテリアルズ インコーポレイテッドApplied Materials,Incorporated|希土類酸化物のイオンアシスト蒸着トップコート| KR20190106959A|2012-08-22|2019-09-18|신에쓰 가가꾸 고교 가부시끼가이샤|희토류 원소 옥시불화물 분말 용사 재료 및 희토류 원소 옥시불화물 용사 부재| KR102142040B1|2016-04-14|2020-08-07|에프엠 인더스트리즈, 인크.|염소 및 불소 플라즈마 내식성을 가진 코팅된 반도체 처리 부재 및 그 복합 산화물 코팅|US5102496A|1989-09-26|1992-04-07|Applied Materials, Inc.|Particulate contamination prevention using low power plasma| US5413877A|1992-09-22|1995-05-09|Moller International, Inc.|Combination thermal barrier and wear coating for internal combustion engines| JPH07122500A|1993-10-28|1995-05-12|Fujitsu Ltd|ガス機器及びこれを利用したガス供給装置| US5798016A|1994-03-08|1998-08-25|International Business Machines Corporation|Apparatus for hot wall reactive ion etching using a dielectric or metallic liner with temperature control to achieve process stability| AU5475998A|1996-11-13|1998-06-03|Ewald Dorken A.G.|Process for application of an inorganic coating to an electrically conducting body| US6143432A|1998-01-09|2000-11-07|L. Pierre deRochemont|Ceramic composites with improved interfacial properties and methods to make such composites| US6170429B1|1998-09-30|2001-01-09|Lam Research Corporation|Chamber liner for semiconductor process chambers| KR20010062209A|1999-12-10|2001-07-07|히가시 데쓰로|고내식성 막이 내부에 형성된 챔버를 구비하는 처리 장치| JP3510993B2|1999-12-10|2004-03-29|トーカロ株式会社|Plasma processing container inner member and method for manufacturing the same| US6521046B2|2000-02-04|2003-02-18|Kabushiki Kaisha Kobe Seiko Sho|Chamber material made of Al alloy and heater block| TW503449B|2000-04-18|2002-09-21|Ngk Insulators Ltd|Halogen gas plasma-resistive members and method for producing the same, laminates, and corrosion-resistant members| JP4277973B2|2001-07-19|2009-06-10|日本碍子株式会社|イットリア−アルミナ複合酸化物膜の製造方法、イットリア−アルミナ複合酸化物膜および耐蝕性部材| US20030029563A1|2001-08-10|2003-02-13|Applied Materials, Inc.|Corrosion resistant coating for semiconductor processing chamber| TWI262905B|2001-11-13|2006-10-01|Tosoh Corp|Quartz glass parts, ceramic parts and process of producing those| US6942929B2|2002-01-08|2005-09-13|Nianci Han|Process chamber having component with yttrium-aluminum coating| US6884514B2|2002-01-11|2005-04-26|Saint-Gobain Ceramics & Plastics, Inc.|Method for forming ceramic layer having garnet crystal structure phase and article made thereby| US8067067B2|2002-02-14|2011-11-29|Applied Materials, Inc.|Clean, dense yttrium oxide coating protecting semiconductor processing apparatus| US6776873B1|2002-02-14|2004-08-17|Jennifer Y Sun|Yttrium oxide based surface coating for semiconductor IC processing vacuum chambers| US6565984B1|2002-05-28|2003-05-20|Applied Materials Inc.|Clean aluminum alloy for semiconductor processing equipment| US7311797B2|2002-06-27|2007-12-25|Lam Research Corporation|Productivity enhancing thermal sprayed yttria-containing coating for plasma reactor| EP1589567B1|2003-09-16|2007-04-04|Shin-Etsu Quartz Products Co., Ltd.|Member for plasma etching device and method for manufacture thereof| JP5137304B2|2004-10-18|2013-02-06|株式会社日本セラテック|耐食性部材およびその製造方法| US7494723B2|2005-07-29|2009-02-24|Tocalo Co., Ltd.|Y2O3 spray-coated member and production method thereof| JP4571561B2|2005-09-08|2010-10-27|トーカロ株式会社|耐プラズマエロージョン性に優れる溶射皮膜被覆部材およびその製造方法| KR20070087219A|2007-07-25|2007-08-27|도카로 가부시키가이샤|산화이트륨 용사 피막 피복 부재 및 그 제조 방법|US7371467B2|2002-01-08|2008-05-13|Applied Materials, Inc.|Process chamber component having electroplated yttrium containing coating| US20080213496A1|2002-02-14|2008-09-04|Applied Materials, Inc.|Method of coating semiconductor processing apparatus with protective yttrium-containing coatings| US10622194B2|2007-04-27|2020-04-14|Applied Materials, Inc.|Bulk sintered solid solution ceramic which exhibits fracture toughness and halogen plasma resistance| US10242888B2|2007-04-27|2019-03-26|Applied Materials, Inc.|Semiconductor processing apparatus with a ceramic-comprising surface which exhibits fracture toughness and halogen plasma resistance| JP2009212293A|2008-03-04|2009-09-17|Tokyo Electron Ltd|基板処理装置用の部品及び基板処理装置| US8206829B2|2008-11-10|2012-06-26|Applied Materials, Inc.|Plasma resistant coatings for plasma chamber components| US8802201B2|2009-08-14|2014-08-12|Asm America, Inc.|Systems and methods for thin-film deposition of metal oxides using excited nitrogen-oxygen species| WO2011066314A1|2009-11-25|2011-06-03|Green, Tweed Of Delaware, Inc.|Methods of coating substrate with plasma resistant coatings and related coated substrates| US9064815B2|2011-03-14|2015-06-23|Applied Materials, Inc.|Methods for etch of metal and metal-oxide films| US10283321B2|2011-01-18|2019-05-07|Applied Materials, Inc.|Semiconductor processing system and methods using capacitively coupled plasma| US8854451B2|2011-10-19|2014-10-07|Lam Research Corporation|Automated bubble detection apparatus and method| KR101617984B1|2012-02-03|2016-05-18|도카로 가부시키가이샤|백색 불화물 용사 피막의 흑색화 방법 및 표면에 흑색층을 갖는 불화물 용사 피막 피복 부재| US9034199B2|2012-02-21|2015-05-19|Applied Materials, Inc.|Ceramic article with reduced surface defect density and process for producing a ceramic article| US9212099B2|2012-02-22|2015-12-15|Applied Materials, Inc.|Heat treated ceramic substrate having ceramic coating and heat treatment for coated ceramics| US9090046B2|2012-04-16|2015-07-28|Applied Materials, Inc.|Ceramic coated article and process for applying ceramic coating| US9394615B2|2012-04-27|2016-07-19|Applied Materials, Inc.|Plasma resistant ceramic coated conductive article| US20130288037A1|2012-04-27|2013-10-31|Applied Materials, Inc.|Plasma spray coating process enhancement for critical chamber components| JP6235471B2|2012-06-20|2017-11-22|東京エレクトロン株式会社|Seasoning method, plasma processing apparatus, and manufacturing method| US9267739B2|2012-07-18|2016-02-23|Applied Materials, Inc.|Pedestal with multi-zone temperature control and multiple purge capabilities| US9604249B2|2012-07-26|2017-03-28|Applied Materials, Inc.|Innovative top-coat approach for advanced device on-wafer particle performance| US9343289B2|2012-07-27|2016-05-17|Applied Materials, Inc.|Chemistry compatible coating material for advanced device on-wafer particle performance| US9373517B2|2012-08-02|2016-06-21|Applied Materials, Inc.|Semiconductor processing with DC assisted RF power for improved control| US20140099794A1|2012-09-21|2014-04-10|Applied Materials, Inc.|Radical chemistry modulation and control using multiple flow pathways| US9132436B2|2012-09-21|2015-09-15|Applied Materials, Inc.|Chemical control features in wafer process equipment| CN103794459B|2012-10-29|2016-04-06|中微半导体设备有限公司|用于等离子处理腔室的气体喷淋头及其涂层形成方法| US9916998B2|2012-12-04|2018-03-13|Applied Materials, Inc.|Substrate support assembly having a plasma resistant protective layer| US9685356B2|2012-12-11|2017-06-20|Applied Materials, Inc.|Substrate support assembly having metal bonded protective layer| US8941969B2|2012-12-21|2015-01-27|Applied Materials, Inc.|Single-body electrostatic chuck| CN103021773B|2012-12-31|2016-03-16|中微半导体设备有限公司|多孔复合陶瓷部件、其制备方法以及等离子体处理腔室| US9358702B2|2013-01-18|2016-06-07|Applied Materials, Inc.|Temperature management of aluminium nitride electrostatic chuck| US10256079B2|2013-02-08|2019-04-09|Applied Materials, Inc.|Semiconductor processing systems having multiple plasma configurations| US9362130B2|2013-03-01|2016-06-07|Applied Materials, Inc.|Enhanced etching processes using remote plasma sources| US9669653B2|2013-03-14|2017-06-06|Applied Materials, Inc.|Electrostatic chuck refurbishment| US9887121B2|2013-04-26|2018-02-06|Applied Materials, Inc.|Protective cover for electrostatic chuck| US9666466B2|2013-05-07|2017-05-30|Applied Materials, Inc.|Electrostatic chuck having thermally isolated zones with minimal crosstalk| US9708713B2|2013-05-24|2017-07-18|Applied Materials, Inc.|Aerosol deposition coating for semiconductor chamber components| US9865434B2|2013-06-05|2018-01-09|Applied Materials, Inc.|Rare-earth oxide based erosion resistant coatings for semiconductor application| US9850568B2|2013-06-20|2017-12-26|Applied Materials, Inc.|Plasma erosion resistant rare-earth oxide based thin film coatings| US9711334B2|2013-07-19|2017-07-18|Applied Materials, Inc.|Ion assisted deposition for rare-earth oxide based thin film coatings on process rings| US9583369B2|2013-07-20|2017-02-28|Applied Materials, Inc.|Ion assisted deposition for rare-earth oxide based coatings on lids and nozzles| US20150126036A1|2013-11-05|2015-05-07|Tokyo Electron Limited|Controlling etch rate drift and particles during plasma processing| US9440886B2|2013-11-12|2016-09-13|Applied Materials, Inc.|Rare-earth oxide based monolithic chamber material| US9725799B2|2013-12-06|2017-08-08|Applied Materials, Inc.|Ion beam sputtering with ion assisted deposition for coatings on chamber components| KR20160130250A|2014-03-05|2016-11-10|어플라이드 머티어리얼스, 인코포레이티드|챔버 입자들을 감소시키기 위한 중요 챔버 구성요소 표면 개선| US9976211B2|2014-04-25|2018-05-22|Applied Materials, Inc.|Plasma erosion resistant thin film coating for high temperature application| US10730798B2|2014-05-07|2020-08-04|Applied Materials, Inc.|Slurry plasma spray of plasma resistant ceramic coating| US10196728B2|2014-05-16|2019-02-05|Applied Materials, Inc.|Plasma spray coating design using phase and stress control| US9460898B2|2014-08-08|2016-10-04|Applied Materials, Inc.|Plasma generation chamber with smooth plasma resistant coating| US9890456B2|2014-08-21|2018-02-13|Asm Ip Holding B.V.|Method and system for in situ formation of gas-phase compounds| US9966240B2|2014-10-14|2018-05-08|Applied Materials, Inc.|Systems and methods for internal surface conditioning assessment in plasma processing equipment| US9355922B2|2014-10-14|2016-05-31|Applied Materials, Inc.|Systems and methods for internal surface conditioning in plasma processing equipment| KR20160055989A|2014-11-11|2016-05-19|코미코|플라즈마 처리 장치용 내부재 및 이의 제조 방법| US10573496B2|2014-12-09|2020-02-25|Applied Materials, Inc.|Direct outlet toroidal plasma source| US10224210B2|2014-12-09|2019-03-05|Applied Materials, Inc.|Plasma processing system with direct outlet toroidal plasma source| US9728437B2|2015-02-03|2017-08-08|Applied Materials, Inc.|High temperature chuck for plasma processing systems| US9881805B2|2015-03-02|2018-01-30|Applied Materials, Inc.|Silicon selective removal| US9691645B2|2015-08-06|2017-06-27|Applied Materials, Inc.|Bolted wafer chuck thermal management systems and methods for wafer processing systems| US9741593B2|2015-08-06|2017-08-22|Applied Materials, Inc.|Thermal management systems and methods for wafer processing systems| US9349605B1|2015-08-07|2016-05-24|Applied Materials, Inc.|Oxide etch selectivity systems and methods| US10504700B2|2015-08-27|2019-12-10|Applied Materials, Inc.|Plasma etching systems and methods with secondary plasma injection| US10020218B2|2015-11-17|2018-07-10|Applied Materials, Inc.|Substrate support assembly with deposited surface features| US10504754B2|2016-05-19|2019-12-10|Applied Materials, Inc.|Systems and methods for improved semiconductor etching and component protection| US10522371B2|2016-05-19|2019-12-31|Applied Materials, Inc.|Systems and methods for improved semiconductor etching and component protection| JP2017218635A|2016-06-08|2017-12-14|三菱重工業株式会社|Thermal barrier coatings, turbine components and gas turbines| US9865484B1|2016-06-29|2018-01-09|Applied Materials, Inc.|Selective etch using material modification and RF pulsing| US10629473B2|2016-09-09|2020-04-21|Applied Materials, Inc.|Footing removal for nitride spacer| US10062575B2|2016-09-09|2018-08-28|Applied Materials, Inc.|Poly directional etch by oxidation| US10062585B2|2016-10-04|2018-08-28|Applied Materials, Inc.|Oxygen compatible plasma source| US9934942B1|2016-10-04|2018-04-03|Applied Materials, Inc.|Chamber with flow-through source| US10546729B2|2016-10-04|2020-01-28|Applied Materials, Inc.|Dual-channel showerhead with improved profile| US10062579B2|2016-10-07|2018-08-28|Applied Materials, Inc.|Selective SiN lateral recess| US9947549B1|2016-10-10|2018-04-17|Applied Materials, Inc.|Cobalt-containing material removal| US10229833B2|2016-11-01|2019-03-12|Asm Ip Holding B.V.|Methods for forming a transition metal nitride film on a substrate by atomic layer deposition and related semiconductor device structures| US20190256405A1|2016-11-02|2019-08-22|Morgan Advanced Ceramics, Inc.|Yttrium Aluminum Silicate Glass Ceramic Coating For Semiconductor Chamber Apparatus| US10163696B2|2016-11-11|2018-12-25|Applied Materials, Inc.|Selective cobalt removal for bottom up gapfill| US9768034B1|2016-11-11|2017-09-19|Applied Materials, Inc.|Removal methods for high aspect ratio structures| US10242908B2|2016-11-14|2019-03-26|Applied Materials, Inc.|Airgap formation with damage-free copper| US10026621B2|2016-11-14|2018-07-17|Applied Materials, Inc.|SiN spacer profile patterning| US10269558B2|2016-12-22|2019-04-23|Asm Ip Holding B.V.|Method of forming a structure on a substrate| US10566206B2|2016-12-27|2020-02-18|Applied Materials, Inc.|Systems and methods for anisotropic material breakthrough| US10431429B2|2017-02-03|2019-10-01|Applied Materials, Inc.|Systems and methods for radial and azimuthal control of plasma uniformity| US10403507B2|2017-02-03|2019-09-03|Applied Materials, Inc.|Shaped etch profile with oxidation| US10043684B1|2017-02-06|2018-08-07|Applied Materials, Inc.|Self-limiting atomic thermal etching systems and methods| US10319739B2|2017-02-08|2019-06-11|Applied Materials, Inc.|Accommodating imperfectly aligned memory holes| KR20170024592A|2017-02-15|2017-03-07|주식회사 펨빅스|가스유로에 균열이 없는 코팅막이 형성되어 있는 가스 샤워헤드| US10319649B2|2017-04-11|2019-06-11|Applied Materials, Inc.|Optical emission spectroscopyfor remote plasma monitoring| US10443125B2|2017-05-10|2019-10-15|Applied Materials, Inc.|Flourination process to create sacrificial oxy-flouride layer| US10049891B1|2017-05-31|2018-08-14|Applied Materials, Inc.|Selective in situ cobalt residue removal| US10497579B2|2017-05-31|2019-12-03|Applied Materials, Inc.|Water-free etching methods| US10541246B2|2017-06-26|2020-01-21|Applied Materials, Inc.|3D flash memory cells which discourage cross-cell electrical tunneling| US10727080B2|2017-07-07|2020-07-28|Applied Materials, Inc.|Tantalum-containing material removal| US10541184B2|2017-07-11|2020-01-21|Applied Materials, Inc.|Optical emission spectroscopic techniques for monitoring etching| US10354889B2|2017-07-17|2019-07-16|Applied Materials, Inc.|Non-halogen etching of silicon-containing materials| US10170336B1|2017-08-04|2019-01-01|Applied Materials, Inc.|Methods for anisotropic control of selective silicon removal| US10043674B1|2017-08-04|2018-08-07|Applied Materials, Inc.|Germanium etching systems and methods| US10297458B2|2017-08-07|2019-05-21|Applied Materials, Inc.|Process window widening using coated parts in plasma etch processes| US10128086B1|2017-10-24|2018-11-13|Applied Materials, Inc.|Silicon pretreatment for nitride removal| US10283324B1|2017-10-24|2019-05-07|Applied Materials, Inc.|Oxygen treatment for nitride etching| US10256112B1|2017-12-08|2019-04-09|Applied Materials, Inc.|Selective tungsten removal| US10679870B2|2018-02-15|2020-06-09|Applied Materials, Inc.|Semiconductor processing chamber multistage mixing apparatus| TW201941300A|2018-02-28|2019-10-16|美商應用材料股份有限公司|System and method for forming air gap| US10593560B2|2018-03-01|2020-03-17|Applied Materials, Inc.|Magnetic induction plasma source for semiconductor processes and equipment| US10319600B1|2018-03-12|2019-06-11|Applied Materials, Inc.|Thermal silicon etch| US10497573B2|2018-03-13|2019-12-03|Applied Materials, Inc.|Selective atomic layer etching of semiconductor materials| US10573527B2|2018-04-06|2020-02-25|Applied Materials, Inc.|Gas-phase selective etching systems and methods| US10490406B2|2018-04-10|2019-11-26|Appled Materials, Inc.|Systems and methods for material breakthrough| US10699879B2|2018-04-17|2020-06-30|Applied Materials, Inc.|Two piece electrode assembly with gap for plasma control| US10797133B2|2018-06-21|2020-10-06|Asm Ip Holding B.V.|Method for depositing a phosphorus doped silicon arsenide film and related semiconductor device structures| US10755941B2|2018-07-06|2020-08-25|Applied Materials, Inc.|Self-limiting selective etching systems and methods| US10767789B2|2018-07-16|2020-09-08|Asm Ip Holding B.V.|Diaphragm valves, valve components, and methods for forming valve components| US10672642B2|2018-07-24|2020-06-02|Applied Materials, Inc.|Systems and methods for pedestal configuration| US10818758B2|2018-11-16|2020-10-27|Asm Ip Holding B.V.|Methods for forming a metal silicate film on a substrate in a reaction chamber and related semiconductor device structures|
法律状态:
2012-02-14| A621| Written request for application examination|Free format text: JAPANESE INTERMEDIATE CODE: A621 Effective date: 20120213 | 2012-02-14| A521| Written amendment|Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20120213 | 2012-11-14| A521| Written amendment|Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20121113 | 2013-09-13| A977| Report on retrieval|Free format text: JAPANESE INTERMEDIATE CODE: A971007 Effective date: 20130913 | 2013-10-01| A131| Notification of reasons for refusal|Free format text: JAPANESE INTERMEDIATE CODE: A131 Effective date: 20131001 | 2014-01-07| A601| Written request for extension of time|Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20131229 | 2014-01-15| A602| Written permission of extension of time|Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20140114 | 2014-01-31| A601| Written request for extension of time|Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20140130 | 2014-02-07| A602| Written permission of extension of time|Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20140206 | 2014-03-04| A601| Written request for extension of time|Free format text: JAPANESE INTERMEDIATE CODE: A601 Effective date: 20140301 | 2014-03-11| A602| Written permission of extension of time|Free format text: JAPANESE INTERMEDIATE CODE: A602 Effective date: 20140310 | 2014-04-01| A521| Written amendment|Free format text: JAPANESE INTERMEDIATE CODE: A523 Effective date: 20140329 | 2014-11-14| TRDD| Decision of grant or rejection written| 2014-11-26| A01| Written decision to grant a patent or to grant a registration (utility model)|Free format text: JAPANESE INTERMEDIATE CODE: A01 Effective date: 20141125 | 2015-01-08| A61| First payment of annual fees (during grant procedure)|Free format text: JAPANESE INTERMEDIATE CODE: A61 Effective date: 20141222 | 2015-01-09| R150| Certificate of patent or registration of utility model|Ref document number: 5674479 Country of ref document: JP Free format text: JAPANESE INTERMEDIATE CODE: R150 | 2018-01-09| R250| Receipt of annual fees|Free format text: JAPANESE INTERMEDIATE CODE: R250 | 2019-01-08| R250| Receipt of annual fees|Free format text: JAPANESE INTERMEDIATE CODE: R250 | 2020-01-07| R250| Receipt of annual fees|Free format text: JAPANESE INTERMEDIATE CODE: R250 | 2021-01-04| R250| Receipt of annual fees|Free format text: JAPANESE INTERMEDIATE CODE: R250 | 2021-12-24| R250| Receipt of annual fees|Free format text: JAPANESE INTERMEDIATE CODE: R250 |
优先权:
[返回顶部]
申请号 | 申请日 | 专利标题 相关专利
Sulfonates, polymers, resist compositions and patterning process
Washing machine
Washing machine
Device for fixture finishing and tension adjusting of membrane
Structure for Equipping Band in a Plane Cathode Ray Tube
Process for preparation of 7 alpha-carboxyl 9, 11-epoxy steroids and intermediates useful therein an
国家/地区
|